Welcome![Sign In][Sign Up]
Location:
Search - stepper motor

Search list

[Embeded-SCM Develop接口课程设计1

Description: 是本人的大学接口课程设计,步进电机控制8255 键盘显示控制器1 -is my university courses Interface Design, 8255 stepper motor control of a keyboard and display controller
Platform: | Size: 362496 | Author: | Hits:

[SCMbujindianji

Description: 步进电机的控制程序,pdf格式的,一个朋友发的说可以参考-stepper motor control procedures, pdf format, a friend of reference that can
Platform: | Size: 205824 | Author: 朱宏 | Hits:

[Booksbujindianjiyuanli

Description: 步进电机的原理与驱动.介绍步进电机的结构,图解和原程序.帮助你了解步进电机.-stepper motor drives with the principle. Stepper Motor introduced the structure, and the original graphic procedures. To help you understand the stepper motor.
Platform: | Size: 98304 | Author: 冰火 | Hits:

[SCMstepper_motor_controller

Description: 步进电机控制程序,用51单片机控制步进电机的运转,可实现电机的正转、反转、归零、自动速度调解,4x4键盘扫描输入需要电机转动的相位,6为数码管显示当前的角度等功能。单片机程序用C51编写。-stepper motor control procedures, with 51 MCU control of the stepper motor operation, the motor is transferred, inversion, zeroing, automatic speed mediation, 4x4 keyboard input scanning needs motor rotational phase, six digital control display for the current angle capabilities. SCM procedures prepared with C51.
Platform: | Size: 60416 | Author: 张计恒 | Hits:

[SCMSTEPPER1

Description: 已通过编译的用C语言实现步进电机控制程序.-has compiled using C language stepper motor control procedures.
Platform: | Size: 1024 | Author: HBZ | Hits:

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 251904 | Author: 代松洮 | Hits:

[DSP programcn019857

Description: PIC16F684 实现双极性步进电机的控制。汇编代码。-PIC16F684 achieve bipolar stepper motor control. The compilation code.
Platform: | Size: 166912 | Author: 陈强 | Hits:

[Otherstepmotor111

Description: 步进电机的控制程序。用来控制步进电机的正转,反转,加速,减速-stepper motor control procedures. Used to control the stepping motor is changed, and inverted, acceleration, deceleration
Platform: | Size: 25600 | Author: 孙波 | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63488 | Author: 刘嵘 | Hits:

[VHDL-FPGA-Verilog9.7_DIRIVER_control

Description: 基于Verilog-HDL的硬件电路的实现 9.7 步进电机的控制   9.7.1 步进电机驱动的逻辑符号   9.7.2 步进电机驱动的时序图   9.7.3 步进电机驱动的逻辑框图   9.7.4 计数模块的设计与实现   9.7.5 译码模块的设计与实现   9.7.6 步进电机驱动的Verilog-HDL描述    9.7.7 编译指令-"宏替换`define"的使用方法   9.7.8 编译指令-"时间尺度`timescale"的使用方法   9.7.9 系统任务-"$finish"的使用方法   9.7.10 步进电机驱动的硬件实现 -based on Verilog-HDL hardware Circuit of 9.7 Stepper Motor Control 9.7 .1 stepper motor-driven logic symbols 9.7.2 stepper motor driven map the chronology-- Step 9.7.3 Machine-driven logic diagram 9.7.4 Counting Module Design and Implementation 9.7.5 decoding module design and Implementation 9.7.6 stepper motor driven Verilog-HDL Compiler means locale 9.7.7 Description Order- "macro substitution` define "the use 9.7.8 compiler directives-" The time scale `tim escale "use 9.7.9 system tasks-" $ finish "to use 9.7.10 stepper motor drive hardware
Platform: | Size: 2048 | Author: 宁宁 | Hits:

[Other Embeded programstepmotor

Description: 精密混合直线步进电机8细分驱动程序,带转速显示功能-precision linear hybrid stepper motor eight sub-driver, with speed display
Platform: | Size: 18432 | Author: ouhf | Hits:

[Embeded-SCM Develop11_stepmotor

Description: 在S3C2410下实现linux环境下的步进电机驱动程序-in S3C2410 under linux environment Stepper Motor Driver
Platform: | Size: 162816 | Author: jia | Hits:

[Embeded Linuxdrivesteper

Description: 嵌入式linux学习之uclinux步进电机驱动实例(测试平台S3C44B0X,uclinux系统)-Embedded linux learning Basis Stepper Motor Driver Example (S3C44 Test Platform B0X, the Basis system)
Platform: | Size: 12288 | Author: 张神 | Hits:

[Other Embeded programPICkongzhidianji-wanzheng

Description: 用PIC控制步进电机完整资料!!希望对大家有帮助! F84程序, ******************************************************** Stepper Motor controller Author : Seiichi Inoue ******************************************************** list p=pic16f84a -PIC controlled stepper motor incomplete information! ! We want to help! F84 procedures,************************************************************************************************************************** Stepper Motor controller Au thor : Seiichi Inoue******************************************************** list p = pic16f 84a
Platform: | Size: 4096 | Author: ttforgo | Hits:

[SCMMotorControlVHDL

Description: 基于FPGA的步进电机控制电路的VHDL语言-FPGA-based stepper motor control circuit of VHDL
Platform: | Size: 1024 | Author: 邓名成 | Hits:

[VHDL-FPGA-VerilogsteppermotorVHDL

Description: 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
Platform: | Size: 1024 | Author: xufeng | Hits:

[SCMSCMControlStepperMotor

Description: 对于步进电机的一种在单片机情况下处理的有关说明,对具体方法有一定阐述!-stepper motor for a microcontroller in the handling of the description of certain specific method described!
Platform: | Size: 82944 | Author: 章斌 | Hits:

[VHDL-FPGA-Verilogstep_motor.vhd

Description: 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
Platform: | Size: 1024 | Author: lfy | Hits:

[Embeded-SCM DevelopBLDCMotorControl-AVR447

Description: Atmel算法(pid)-步进电机驱动源码 HOWTO,不好用你找我,绝对ok!-Atmel algorithm (pid)- stepper motor driver source HOWTO, not with you to find me, is absolutely ok!
Platform: | Size: 235520 | Author: | Hits:

[SCMmotor

Description: 步进电机控制,LCD显示-Stepper motor control, LCD display
Platform: | Size: 41984 | Author: 小刚 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net